Data Structures | Typedefs | Enumerations
MOTOR_LIB

Data Structures

struct  _MOTOR_Params_
 Defines the motor parameters. More...
 

Typedefs

typedef struct _MOTOR_Params_ MOTOR_Params
 Defines the motor parameters. More...
 

Enumerations

enum  MOTOR_Type_e {
  MOTOR_TYPE_INDUCTION = 0,
  MOTOR_TYPE_PM,
  MOTOR_TYPE_INDUCTION = 0,
  MOTOR_TYPE_PM
}
 Enumeration for the motor types. More...
 
enum  FlyingStart_Mode_e {
  FLYINGSTART_MODE_HALT = 0,
  FLYINGSTART_MODE_STANDBY = 1,
  FLYINGSTART_MODE_HALT = 0,
  FLYINGSTART_MODE_STANDBY = 1
}
 Enumeration for the Flying Start Mode. More...
 
enum  BRAKE_Mode_e {
  FREE_STOP_MODE = 0,
  HARDSWITCH_BRAKE_MODE = 1,
  FORCESTOP_BRAKE_MODE = 2,
  DYNAMIC_BRAKE_MODE = 3,
  REGENERATION_BRAKE_MODE = 4,
  FREE_STOP_MODE = 0,
  HARDSWITCH_BRAKE_MODE = 1,
  FORCESTOP_BRAKE_MODE = 2,
  DYNAMIC_BRAKE_MODE = 3,
  REGENERATION_BRAKE_MODE = 4
}
 Enumeration for the braking Mode. More...
 
enum  OPERATE_Mode_e {
  OPERATE_MODE_SPEED = 0,
  OPERATE_MODE_TORQUE = 1,
  OPERATE_MODE_SPEED = 0,
  OPERATE_MODE_TORQUE = 1
}
 Enumeration for the control mode. More...
 
enum  SAMPLE_Mode_e {
  SAMPLE_MODE_DCSS2 = 0,
  SAMPLE_MODE_DCSS4 = 1,
  SAMPLE_MODE_DCLINK = 2,
  SAMPLE_MODE_3LSR = 3,
  SAMPLE_MODE_3INL = 4,
  SAMPLE_MODE_SDFM = 5,
  SAMPLE_MODE_DCSS2 = 0,
  SAMPLE_MODE_DCSS4 = 1,
  SAMPLE_MODE_DCLINK = 2,
  SAMPLE_MODE_3LSR = 3,
  SAMPLE_MODE_3INL = 4,
  SAMPLE_MODE_SDFM = 5
}
 Enumeration for the estimator mode. More...
 
enum  MOTOR_Status_e {
  MOTOR_STOP_IDLE = 0,
  MOTOR_SEEK_POS = 1,
  MOTOR_ALIGNMENT = 2,
  MOTOR_OL_START = 3,
  MOTOR_CL_RUNNING = 4,
  MOTOR_CTRL_RUN = 5,
  MOTOR_STOP_IDLE = 0,
  MOTOR_FAULT_STOP = 1,
  MOTOR_BRAKE_STOP = 2,
  MOTOR_NORM_STOP = 3,
  MOTOR_CHARGE = 4,
  MOTOR_SEEK_POS = 5,
  MOTOR_ALIGNMENT = 6,
  MOTOR_IPD_HFI = 7,
  MOTOR_OL_START = 8,
  MOTOR_CL_RUNNING = 9,
  MOTOR_CTRL_RUN = 10
}
 
enum  MOTOR_CtrlState_e {
  MCTRL_IDLE = 0,
  MCTRL_STOP = 1,
  MCTRL_CHARGE = 2,
  MCTRL_START = 3,
  MCTRL_RUN = 4,
  MCTRL_FAULT = 5,
  MCTRL_BRAKE = 6
}
 Enumeration for the motor drive control state. More...
 

Detailed Description

Typedef Documentation

◆ MOTOR_Params

typedef struct _MOTOR_Params_ MOTOR_Params

Defines the motor parameters.

Enumeration Type Documentation

◆ MOTOR_Type_e

Enumeration for the motor types.

Enumerator
MOTOR_TYPE_INDUCTION 

induction

MOTOR_TYPE_PM 

permanent magnet

MOTOR_TYPE_INDUCTION 

induction

MOTOR_TYPE_PM 

permanent magnet

◆ FlyingStart_Mode_e

Enumeration for the Flying Start Mode.

Enumerator
FLYINGSTART_MODE_HALT 

Halt Mode.

FLYINGSTART_MODE_STANDBY 

Standby Mode.

FLYINGSTART_MODE_HALT 

Halt Mode.

FLYINGSTART_MODE_STANDBY 

Standby Mode.

◆ BRAKE_Mode_e

Enumeration for the braking Mode.

Enumerator
FREE_STOP_MODE 

Free stop mode without braking.

HARDSWITCH_BRAKE_MODE 

Hard switch braking mode.

FORCESTOP_BRAKE_MODE 

Force alignment braking mode.

DYNAMIC_BRAKE_MODE 

N/A, Dynamic braking mode.

REGENERATION_BRAKE_MODE 

N/A, Regeneration braking mode.

FREE_STOP_MODE 

Free stop mode without braking.

HARDSWITCH_BRAKE_MODE 

Hard switch braking mode.

FORCESTOP_BRAKE_MODE 

Force alignment braking mode.

DYNAMIC_BRAKE_MODE 

N/A, Dynamic braking mode.

REGENERATION_BRAKE_MODE 

N/A, Regeneration braking mode.

◆ OPERATE_Mode_e

Enumeration for the control mode.

Enumerator
OPERATE_MODE_SPEED 

Speed close loop running mode.

OPERATE_MODE_TORQUE 

Torque close loop running mode.

OPERATE_MODE_SPEED 

Speed close loop running mode.

OPERATE_MODE_TORQUE 

Torque close loop running mode.

◆ SAMPLE_Mode_e

Enumeration for the estimator mode.

Enumerator
SAMPLE_MODE_DCSS2 

dclink_ss2

SAMPLE_MODE_DCSS4 

dclink_ss4

SAMPLE_MODE_DCLINK 

dclink_ss

SAMPLE_MODE_3LSR 

three_shunt

SAMPLE_MODE_3INL 

inline

SAMPLE_MODE_SDFM 

sdfm

SAMPLE_MODE_DCSS2 

dclink_ss2

SAMPLE_MODE_DCSS4 

dclink_ss4

SAMPLE_MODE_DCLINK 

dclink_ss

SAMPLE_MODE_3LSR 

three_shunt

SAMPLE_MODE_3INL 

inline

SAMPLE_MODE_SDFM 

sdfm

◆ MOTOR_Status_e

Enumerator
MOTOR_STOP_IDLE 
MOTOR_SEEK_POS 
MOTOR_ALIGNMENT 
MOTOR_OL_START 
MOTOR_CL_RUNNING 
MOTOR_CTRL_RUN 
MOTOR_STOP_IDLE 
MOTOR_FAULT_STOP 
MOTOR_BRAKE_STOP 
MOTOR_NORM_STOP 
MOTOR_CHARGE 
MOTOR_SEEK_POS 
MOTOR_ALIGNMENT 
MOTOR_IPD_HFI 
MOTOR_OL_START 
MOTOR_CL_RUNNING 
MOTOR_CTRL_RUN 

◆ MOTOR_CtrlState_e

Enumeration for the motor drive control state.

Enumerator
MCTRL_IDLE 

Enters into this state after the controller power up.

MCTRL_STOP 

Stop the motor, wait for start command.

MCTRL_CHARGE 

Boot charge.

MCTRL_START 

start command includes different phases

MCTRL_RUN 

Normal run mode.

MCTRL_FAULT 

Motor stop with fault.

MCTRL_BRAKE 

Stop the motor with braking.


Copyright 2023, Texas Instruments Incorporated